top of page

Migrating Spartan 6 Designs to 7 Series and Beyond!

In this white paper, we are going to understand the differences between the Spartan-6 and 7 Series architectures. We will also discuss how we can select the most appropriate migration device from the 7 Series range along with how to migrate the tool chain from ISE to Vivado. This white paper will also examine how best to migrate a range of designs from pure RTL-based designs to those which contain a significant element of IP and softcore microcontrollers such as MicroBlaze within the programmable logic fabric.

bottom of page